Intel détaille sa nouvelle génération de puces Intel 3 : 18% de performances en plus

-

La fabrication de nouveaux processeurs nécessite le développement de nouveaux procédés de fabrication avancés qui augmentent la densité. Intel, l’un des plus grands fabricants de processeurs au monde, vient d’annoncer le nouveau procédé Intel 3. Ce nouveau procédé de fabrication offre une amélioration 18 % de performances par rapport à Intel 4 grâce à une augmentation de la densité.

Actuellement, les deux plus grandes fonderies au monde sont Intel et TSMC, basées à Taiwan. Intel, jusqu’à récemment, ne fabriquait que ses puces, mais propose désormais d’en fabriquer pour des tiers. TSMC, quant à lui, produit des puces pour AMD, NVIDIA, Apple, Qualcomm et Intel, entre autres.

Il y a quelque temps, Intel a modifié le nom de ses nœuds afin que le nom soit similaire à celui de TSMC. Il faut savoir que les deux fonderies utilisent des procédés et des conceptions très différentes. Intel a désormais révélé des données sur le nouveau processus Intel 3.

Amélioration majeure des performances du processus Intel 3

Intel utilise actuellement les procédés de fabrication Intel 7 et Intel 4 pour la production de différents types de puces. Le nouveau processus Intel 3 remplacera ces nœuds de fabrication, on le verra pour la première fois dans le Xeon 6700E “Sierra Forest” déjà vu au Computex 2024.

L’une des caractéristiques les plus intéressantes de ce nouveau nœud réside dans les bibliothèques de conception plus denses, le courant de commande des transistors plus élevé et l’utilisation accrue de l’EUV. Ce qui est intéressant, c’est que nous aurons les variantes du nœud Intel 3, telles que les conceptions 3-T, 3-E et 3-PT.

L’entreprise souligne qu’une grande amélioration des performances est obtenue en seulement un an. Pour y parvenir, différentes optimisations ont été réalisées dans pratiquement tous les aspects du procédé, du transistor à l’empilement métallique. Les gains de densité sont dus à un ensemble de bibliothèques de cellules standards haute densité.

C’est en 2021 que l’entreprise a présenté le développement d’un processus à cinq nœuds en quatre ans (5N4Y) avec des étapes assez ambitieuses. Cette feuille de route se concentre sur la reconquête du leadership technique et sur la démonstration d’une exécution cohérente grâce à une prise de risques prudente et mesurée.

L’Intel Process Node 3 comprend quatre variantes conçues et développées progressivement pour réduire les risques et permettre une fabrication cohérente. Les variantes sont :

  • Intel 3-T : propose des vias en silicium (TSV) pour les applications d’empilement 3D afin d’intégrer plusieurs composants informatiques. Conçu pour développer des puces axées sur le traitement d’images, le calcul haute performance ou l’intelligence artificielle.
  • Intel 3-E : Un grand réseau d’E/S pour les interfaces externes et les fonctionnalités de signaux analogiques et mixtes ajoutent de l’ampleur à la famille.
  • Intel 3-PT : Il combine toutes les avancées en un seul processus et ajoute encore plus d’améliorations de performances ainsi qu’une facilité d’utilisation pour les concepteurs. Dans le même temps, prise en charge de TSV 9um à pas plus fin et d’options de liaison hybride pour une 3D de densité encore plus élevée. Il est connu pour offrir une combinaison unique de performances, de flexibilité et de coût pour une grande variété d’applications.

conception Intel 3

Notez que l’Intel Process 3 propose un nœud Intel Foundry de pointe, conçu pour créer un nœud durable pour les clients des fonderies. Il bénéficiera d’une progression continue de fonctionnalités technologiques et d’améliorations de performances pour répondre à un large éventail d’applications de conception et de produits.

-